個人で使えそうな論理回路シミュレータ
sukaisu2050
デジタル回路エンジニアの実験ノート
VerilogとVHDLは年を追うごとに拡張が続いています。
2025年時点での規格をまとめました。
VerilogはIEEE Standard for Verilog Hardware Description Languageで規格されています。
IEEE1364-yyyyの番号が振らています。
SyestemVerilogはIEEE Standard for SystemVerilogで規格されています。
IEEE1800-yyyyの番号が振らています。
Verilogバージョン | IEEE 規格番号 | 主な特徴 |
Verilog-1995 | IEEE 1364-1995 | 最初のIEEE標準化。Verilog-XL互換。 |
Verilog-2001 | IEEE 1364-2001 | generate文、signed、always @*など導入 |
Verilog-2005 | IEEE 1364-2005 | Verilog-2001のマイナーアップデート |
SystemVerilog-2005 | IEEE 1800-2005 | SystemVerilog初版。設計+検証の統合言語 |
SystemVerilog-2009 | IEEE 1800-2009 | 複数の拡張とバグ修正 |
SystemVerilog-2012 | IEEE 1800-2012 | DPI-C拡張、構造化カバレッジなど |
SystemVerilog-2017 | IEEE 1800-2017 | 近年の標準。バグ修正と細かい拡張 |
SystemVerilog-2023 | IEEE 1800-2023 | 最新の改訂。ツールベンダーは対応途中 |
補足
VHDLはIEEE Standard for VHDL Language Reference Manualで規格されています。
IEEE1076-yyyyの番号が振らています。
VHDLバージョン | IEEE 規格番号 | 主な特徴 |
VHDL-1987 | IEEE 1076-1987 | 初版。米国防総省(DoD)の要請で制定。 |
VHDL-1993 | IEEE 1076-1993 | 最も普及したバージョン。shared variables など導入。 |
VHDL-2000(廃案) | ― | 標準化プロセス途中で凍結(正式発行なし) |
VHDL-2002 | IEEE 1076-2002 | マイナー改訂(コメントの改善など) |
VHDL-2008 | IEEE 1076-2008 | 大幅拡張。protected types 、 generics 拡張など |
VHDL-2019 | IEEE 1076-2019 | 最新(2020年公開)。パッケージの改良、合成支援拡張など |
補足
これらを知っていることは意味があるでしょうか?
実はツールによりサポート状況が異なります。
便利な記法なのにツールによっては使用できたり、できなかったりということがあります。
またツールではオプションによって特定の記述を使えるようにできたりもします。
バージョンと記法の対応を覚えておく必要はありませんが、何らかのトラブルがあった場合には記法のバージョンを確認して、記法を変えるか、オプションを使用するか、考える必要があります。
プロジェクト開始時には事前にどの記法まで利用するか決めておくことは重要です。
全員が同じ基準でHDLを記述できるように決めておきましょう。