デジタル設計

ハードウェア記述言語HDLの規格

sukaisu2050

VerilogとVHDLは年を追うごとに拡張が続いています。
2025年時点での規格をまとめました。

ハードウェア記述言語HDLの規格

Verilog

VerilogはIEEE Standard for Verilog Hardware Description Languageで規格されています。
IEEE1364-yyyyの番号が振らています。

SyestemVerilogはIEEE Standard for SystemVerilogで規格されています。
IEEE1800-yyyyの番号が振らています。

VerilogバージョンIEEE 規格番号 主な特徴
Verilog-1995IEEE 1364-1995最初のIEEE標準化。Verilog-XL互換。
Verilog-2001IEEE 1364-2001generate文、signed、always @*など導入
Verilog-2005IEEE 1364-2005Verilog-2001のマイナーアップデート
SystemVerilog-2005IEEE 1800-2005SystemVerilog初版。設計+検証の統合言語
SystemVerilog-2009IEEE 1800-2009複数の拡張とバグ修正
SystemVerilog-2012IEEE 1800-2012DPI-C拡張、構造化カバレッジなど
SystemVerilog-2017IEEE 1800-2017近年の標準。バグ修正と細かい拡張
SystemVerilog-2023IEEE 1800-2023最新の改訂。ツールベンダーは対応途中

補足

  • Verilog はもともと1980年代にGateway Design Automation社の自社仕様として開発され、1995年に初めてIEEE標準化されました。
  • SystemVerilog はVerilogを拡張したスーパーセットで、設計記述に加えて、検証機能も含みます。
  • Verilog-2005までを「Verilog」と呼び、SystemVerilog以降は「IEEE 1800シリーズ」として独立規格となりました。

VHDL

VHDLはIEEE Standard for VHDL Language Reference Manualで規格されています。
IEEE1076-yyyyの番号が振らています。

VHDLバージョンIEEE 規格番号主な特徴
VHDL-1987IEEE 1076-1987初版。米国防総省(DoD)の要請で制定。
VHDL-1993IEEE 1076-1993最も普及したバージョン。shared variables
など導入。
VHDL-2000(廃案)標準化プロセス途中で凍結(正式発行なし)
VHDL-2002IEEE 1076-2002マイナー改訂(コメントの改善など)
VHDL-2008IEEE 1076-2008大幅拡張。protected types

generics
拡張など
VHDL-2019IEEE 1076-2019最新(2020年公開)。パッケージの改良、合成支援拡張など

補足

  • VHDLは米国防総省(DoD)の委託により、Intermetrics社を中心に1980年代初頭に開発されました。
  • VHDLは文法が厳格で、形式検証や合成に強い一方、学習コストが高いとされています。
  • VHDL-1993 は多くのツールでデフォルトサポートされています。
  • VHDL-2008以降は強力な機能が多数導入されているが、ツール側の完全対応はまちまちです(特にFPGAツール)。

ポイント

これらを知っていることは意味があるでしょうか?

実はツールによりサポート状況が異なります。
便利な記法なのにツールによっては使用できたり、できなかったりということがあります。

またツールではオプションによって特定の記述を使えるようにできたりもします。

バージョンと記法の対応を覚えておく必要はありませんが、何らかのトラブルがあった場合には記法のバージョンを確認して、記法を変えるか、オプションを使用するか、考える必要があります。

プロジェクト開始時には事前にどの記法まで利用するか決めておくことは重要です。
全員が同じ基準でHDLを記述できるように決めておきましょう。

メールアドレスが公開されることはありません。 が付いている欄は必須項目です

CAPTCHA


ABOUT ME
すかいす
すかいす
駆け出しブロガー
デジタル回路の設計、検証をしていた半導体エンジニアです。論理設計やFPGA、生成AIなどの技術を自由研究のように楽しむブログです。学び直しや試行錯誤の記録もゆるく発信中。
記事URLをコピーしました