ハードウェア記述言語HDLの規格
sukaisu2050
デジタル回路エンジニアの実験ノート
論理検証のために個人のPCで利用できそうな論理回路シミュレータには何があるでしょうか?
2025年時点で利用できそうなものを調査しました。
初めにChatGPTにリストアップしてもらいましたが、ライブラリや波形ビュアーも混ざってしまったり、微妙でした。
リストアップしたものを情報を確認し、整理しなおしています。
ChatGPTの正確性を確認するために商用ツールから挙げてもらいました。
Big3は深堀しません。
業務であればこれらを使いたいですね。
高価ではありますが、その分信頼性も高く、サポートも期待できます。
Aldecの製品は業務用としては手の出しやすい価格のようです。
それでも個人で手を出せるものではありませんが。
今回の調査で気になったのはAltair DSimです。
デスクトップ版は無料のようです。
今後使ってみようと思います。
製品名 | ベンダー | 特徴 |
VCS | Synopsys | 高速かつ高機能、大規模開発対応 |
Xcelium | Cadence | 高速かつ高機能、大規模開発対応 |
Questa | Siemens (旧Mentor) | 高速かつ高機能、大規模開発対応 |
DSim | Altair (旧Metrics) | クラウド特化型。無料デスクトップ版もあり |
Riviera-PRO | Aldec | 機能検証対応 |
Active-HDL | Aldec | FPGAデザイン、シミュレーション |
FPGA開発に使えるように機能限定版シミュレータがあります。
これらも個人で使うには候補になりえるかと思われます。
製品名 | ベンダー | 特徴 |
Vivado Simulator | AMD(旧Xilinx) | 無料(Vivado WebPACK)。Xilinx FPGA向けだが汎用的にも利用可能。Vivado Design Suiteに含まれる |
Intel ModelSim Edition | Intel (旧Altera) | Quartus Prime Liteに付属するModelSim-Intel FPGA Edition、Lite版:無料、有償版は制限解除 |
Lattice iCEcube2 / Radiant | Lattice | 内蔵の簡易シミュレータあり |
Verilatorは良くメンテナンスされているようです。
Icarus Verilogは最終更新が2023年の模様。
オープンソース系はいずれサポートされなくなることがあります。
長くメンテナンスされていないものもあるようです。
EDA Playgroundは教育関係事業のDOULOS社のサービス。
Webベースで複数のOSS/商用シミュレータを使えるようです。
教育用ということで時間制限がある模様ですが、お手軽に商用シミュレータも試せます。
名称 | 対応言語 | 特徴 |
Icarus Verilog | Verilog/SystemVerilog | 波形出力(VCD)対応。テストベンチ駆動可。 |
GHDL | VHDL | GTKWaveと併用可。最近はVerilogフロントエンドも追加中。 |
Verilator | Verilog/SystemVerilog/VerilogAMS | 高速シミュレーション。論理合成可能部分のをC++へ変換。 |
CVC | Verilog | 2015年にオープンソース化 |
Cver | Verilog | 最終更新は2009年 |
VeriWell | Verilog | 最終更新は2009年 |
EDA Playground | etc | 教育関係事業のDOULOS社のサービス。 Webベースで複数のOSS/商用シミュレータに対応。 |
以下にツールの言語のサポート状況を示します。
現時点でのおすすめは以下のような感じです。
私が試行したい順番です。
これらは今後調査していきたいと考えています。